影音先锋AⅤ天堂资源站,13小箩利洗澡无码视频APP,午夜理论片日本中文在线,最近新免费韩国日本电影

首頁 > 職業(yè)資格  > 

51單片機(jī)C語言編程基礎(chǔ)及實(shí)例

2023-04-22   來源:萬能知識(shí)網(wǎng)

51單片機(jī)C語言編程基礎(chǔ)及實(shí)例


(資料圖片)

C語言是一門通用計(jì)算機(jī)編程語言,應(yīng)用廣泛。下面是小編整理的51單片機(jī)C語言編程基礎(chǔ)及實(shí)例,希望對(duì)大家有幫助!

單片機(jī)的外部結(jié)構(gòu):

DIP40雙列直插;

P0,P1,P2,P3四個(gè)8位準(zhǔn)雙向I/O引腳;(作為I/O輸入時(shí),要先輸出高電平)

電源VCC(PIN40)和地線GND(PIN20);

高電平復(fù)位RESET(PIN9);(10uF電容接VCC與RESET,即可實(shí)現(xiàn)上電復(fù)位)

內(nèi)置振蕩電路,外部只要接晶體至X1(PIN18)和X0(PIN19);(頻率為主頻的12倍)

程序配置EA(PIN31)接高電平VCC;(運(yùn)行單片機(jī)內(nèi)部ROM中的程序)

P3支持第二功能:RXD、TXD、INT0、INT1、T0、T1

單片機(jī)內(nèi)部I/O部件:(所為學(xué)習(xí)單片機(jī),實(shí)際上就是編程控制以下I/O部件,完成指定任務(wù))

四個(gè)8位通用I/O端口,對(duì)應(yīng)引腳P0、P1、P2和P3;

兩個(gè)16位定時(shí)計(jì)數(shù)器;(TMOD,TCON,TL0,TH0,TL1,TH1)

一個(gè)串行通信接口;(SCON,SBUF)

一個(gè)中斷控制器;(IE,IP)

針對(duì)AT89C52單片機(jī),頭文件AT89x52.h給出了SFR特殊功能寄存器所有端口的定義。

C語言編程基礎(chǔ):

十六進(jìn)制表示字節(jié)0x5a:二進(jìn)制為01011010B;0x6E為01101110。

如果將一個(gè)16位二進(jìn)數(shù)賦給一個(gè)8位的字節(jié)變量,則自動(dòng)截?cái)酁榈?位,而丟掉高8位。

++var表示對(duì)變量var先增一;var—表示對(duì)變量后減一。

x |= 0x0f;表示為 x = x | 0x0f;

TMOD = ( TMOD & 0xf0 ) | 0x05;表示給變量TMOD的低四位賦值0x5,而不改變TMOD的高四位。

While( 1 ); 表示無限執(zhí)行該語句,即死循環(huán)。語句后的分號(hào)表示空循環(huán)體,也就是{;}

在某引腳輸出高電平的編程方法:(比如P1.3(PIN4)引腳)

代碼

#include //該頭文檔中有單片機(jī)內(nèi)部資源的符號(hào)化定義,其中包含P1.3

void main( void ) //void 表示沒有輸入?yún)?shù),也沒有函數(shù)返值,這入單片機(jī)運(yùn)行的復(fù)位入口

{

P1_3 = 1; //給P1_3賦值1,引腳P1.3就能輸出高電平VCC

While( 1 ); //死循環(huán),相當(dāng) LOOP: goto LOOP;

}

注意:P0的每個(gè)引腳要輸出高電平時(shí),必須外接上拉電阻(如4K7)至VCC電源。

在某引腳輸出低電平的編程方法:(比如P2.7引腳)

代碼

#include //該頭文檔中有單片機(jī)內(nèi)部資源的符號(hào)化定義,其中包含P2.7

void main( void ) //void 表示沒有輸入?yún)?shù),也沒有函數(shù)返值,這入單片機(jī)運(yùn)行的復(fù)位入口

{

P2_7 = 0; //給P2_7賦值0,引腳P2.7就能輸出低電平GND

While( 1 ); //死循環(huán),相當(dāng) LOOP: goto LOOP;

}

在某引腳輸出方波編程方法:(比如P3.1引腳)

代碼

#include //該頭文檔中有單片機(jī)內(nèi)部資源的符號(hào)化定義,其中包含P3.1

void main( void ) //void 表示沒有輸入?yún)?shù),也沒有函數(shù)返值,這入單片機(jī)運(yùn)行的復(fù)位入口

{

While( 1 ) //非零表示真,如果為真則執(zhí)行下面循環(huán)體的語句

{

P3_1 = 1; //給P3_1賦值1,引腳P3.1就能輸出高電平VCC

P3_1 = 0; //給P3_1賦值0,引腳P3.1就能輸出低電平GND

} //由于一直為真,所以不斷輸出高、低、高、低……,從而形成方波

}

將某引腳的輸入電平取反后,從另一個(gè)引腳輸出:( 比如 P0.4 = NOT( P1.1) )

代碼

#include //該頭文檔中有單片機(jī)內(nèi)部資源的符號(hào)化定義,其中包含P0.4和P1.1

void main( void ) //void 表示沒有輸入?yún)?shù),也沒有函數(shù)返值,這入單片機(jī)運(yùn)行的復(fù)位入口

{

P1_1 = 1; //初始化。P1.1作為輸入,必須輸出高電平

While( 1 ) //非零表示真,如果為真則執(zhí)行下面循環(huán)體的語句

{

if( P1_1 == 1 ) //讀取P1.1,就是認(rèn)為P1.1為輸入,如果P1.1輸入高電平VCC

{ P0_4 = 0; } //給P0_4賦值0,引腳P0.4就能輸出低電平GND

else //否則P1.1輸入為低電平GND

//{ P0_4 = 0; } //給P0_4賦值0,引腳P0.4就能輸出低電平GND

{ P0_4 = 1; } //給P0_4賦值1,引腳P0.4就能輸出高電平VCC

} //由于一直為真,所以不斷根據(jù)P1.1的輸入情況,改變P0.4的輸出電平

}

將某端口8個(gè)引腳輸入電平,低四位取反后,從另一個(gè)端口8個(gè)引腳輸出:( 比如 P2 = NOT( P3 ) )

代碼

#include //該頭文檔中有單片機(jī)內(nèi)部資源的符號(hào)化定義,其中包含P2和P3

void main( void ) //void 表示沒有輸入?yún)?shù),也沒有函數(shù)返值,這入單片機(jī)運(yùn)行的復(fù)位入口

{

P3 = 0xff; //初始化。P3作為輸入,必須輸出高電平,同時(shí)給P3口的8個(gè)引腳輸出高電平

While( 1 ) //非零表示真,如果為真則執(zhí)行下面循環(huán)體的語句

{ //取反的方法是異或1,而不取反的方法則是異或0

P2 = P3^0x0f //讀取P3,就是認(rèn)為P3為輸入,低四位異或者1,即取反,然后輸出

} //由于一直為真,所以不斷將P3取反輸出到P2

}

注意:一個(gè)字節(jié)的8位D7、D6至D0,分別輸出到P3.7、P3.6至P3.0,比如P3=0x0f,則P3.7、P3.6、P3.5、P3.4四個(gè)引腳都輸出低電平,而P3.3、P3.2、P3.1、P3.0四個(gè)引腳都輸出高電平。同樣,輸入一個(gè)端口P2,即是將P2.7、P2.6至P2.0,讀入到一個(gè)字節(jié)的8位D7、D6至D0。

第一節(jié):?jiǎn)螖?shù)碼管按鍵顯示

單片機(jī)最小系統(tǒng)的硬件原理接線圖:

接電源:VCC(PIN40)、GND(PIN20)。加接退耦電容0.1uF

接晶體:X1(PIN18)、X2(PIN19)。注意標(biāo)出晶體頻率(選用12MHz),還有輔助電容30pF

接復(fù)位:RES(PIN9)。接上電復(fù)位電路,以及手動(dòng)復(fù)位電路,分析復(fù)位工作原理

接配置:EA(PIN31)。說明原因。

發(fā)光二極的控制:?jiǎn)纹瑱C(jī)I/O輸出

將一發(fā)光二極管LED的正極(陽極)接P1.1,LED的負(fù)極(陰極)接地GND。只要P1.1輸出高電平VCC,LED就正向?qū)?導(dǎo)通時(shí)LED上的壓降大于1V),有電流流過LED,至發(fā)LED發(fā)亮。實(shí)際上由于P1.1高電平輸出電阻為10K,起到輸出限流的作用,所以流過LED的電流小于(5V-1V)/10K = 0.4mA。只要P1.1輸出低電平GND,實(shí)際小于0.3V,LED就不能導(dǎo)通,結(jié)果LED不亮。

開關(guān)雙鍵的輸入:輸入先輸出高

一個(gè)按鍵KEY_ON接在P1.6與GND之間,另一個(gè)按鍵KEY_OFF接P1.7與GND之間,按KEY_ON后LED亮,按KEY_OFF后LED滅。同時(shí)按下LED半亮,LED保持后松開鍵的狀態(tài),即ON亮OFF滅。

代碼

#include

#define LED P1^1 //用符號(hào)LED代替P1_1

#define KEY_ON P1^6 //用符號(hào)KEY_ON代替P1_6

#define KEY_OFF P1^7 //用符號(hào)KEY_OFF代替P1_7

void main( void ) //單片機(jī)復(fù)位后的執(zhí)行入口,void表示空,無輸入?yún)?shù),無返回值

{

KEY_ON = 1; //作為輸入,首先輸出高,接下KEY_ON,P1.6則接地為0,否則輸入為1

KEY_OFF = 1; //作為輸入,首先輸出高,接下KEY_OFF,P1.7則接地為0,否則輸入為1

While( 1 ) //永遠(yuǎn)為真,所以永遠(yuǎn)循環(huán)執(zhí)行如下括號(hào)內(nèi)所有語句

{

if( KEY_ON==0 ) LED=1; //是KEY_ON接下,所示P1.1輸出高,LED亮

if( KEY_OFF==0 ) LED=0; //是KEY_OFF接下,所示P1.1輸出低,LED滅

} //松開鍵后,都不給LED賦值,所以LED保持最后按鍵狀態(tài)。

//同時(shí)按下時(shí),LED不斷亮滅,各占一半時(shí)間,交替頻率很快,由于人眼慣性,看上去為半亮態(tài)

}

數(shù)碼管的接法和驅(qū)動(dòng)原理

一支七段數(shù)碼管實(shí)際由8個(gè)發(fā)光二極管構(gòu)成,其中7個(gè)組形構(gòu)成數(shù)字8的七段筆畫,所以稱為七段數(shù)碼管,而余下的`1個(gè)發(fā)光二極管作為小數(shù)點(diǎn)。作為習(xí)慣,分別給8個(gè)發(fā)光二極管標(biāo)上記號(hào):a,b,c,d,e,f,g,h。對(duì)應(yīng)8的頂上一畫,按順時(shí)針方向排,中間一畫為g,小數(shù)點(diǎn)為h。

我們通常又將各二極與一個(gè)字節(jié)的8位對(duì)應(yīng),a(D0),b(D1),c(D2),d(D3),e(D4),f(D5),g(D6),h(D7),相應(yīng)8個(gè)發(fā)光二極管正好與單片機(jī)一個(gè)端口Pn的8個(gè)引腳連接,這樣單片機(jī)就可以通過引腳輸出高低電平控制8個(gè)發(fā)光二極的亮與滅,從而顯示各種數(shù)字和符號(hào);對(duì)應(yīng)字節(jié),引腳接法為:a(Pn.0),b(Pn.1),c(Pn.2),d(Pn.3),e(Pn.4),f(Pn.5),g(Pn.6),h(Pn.7)。

如果將8個(gè)發(fā)光二極管的負(fù)極(陰極)內(nèi)接在一起,作為數(shù)碼管的一個(gè)引腳,這種數(shù)碼管則被稱為共陰數(shù)碼管,共同的引腳則稱為共陰極,8個(gè)正極則為段極。否則,如果是將正極(陽極)內(nèi)接在一起引出的,則稱為共陽數(shù)碼管,共同的引腳則稱為共陽極,8個(gè)負(fù)極則為段極。

以單支共陰數(shù)碼管為例,可將段極接到某端口Pn,共陰極接GND,則可編寫出對(duì)應(yīng)十六進(jìn)制碼的七段碼表字節(jié)數(shù)據(jù)如右圖:

16鍵碼顯示的程序

我們?cè)赑1端口接一支共陰數(shù)碼管SLED,在P2、P3端口接16個(gè)按鍵,分別編號(hào)為KEY_0、KEY_1到KEY_F,操作時(shí)只能按一個(gè)鍵,按鍵后SLED顯示對(duì)應(yīng)鍵編號(hào)。

代碼

#include

#define SLED P1

#define KEY_0 P2^0

#define KEY_1 P2^1

#define KEY_2 P2^2

#define KEY_3 P2^3

#define KEY_4 P2^4

#define KEY_5 P2^5

#define KEY_6 P2^6

#define KEY_7 P2^7

#define KEY_8 P3^0

#define KEY_9 P3^1

#define KEY_A P3^2

#define KEY_B P3^3

#define KEY_C P3^4

#define KEY_D P3^5

#define KEY_E P3^6

#define KEY_F P3^7

Code unsigned char Seg7Code[16]= //用十六進(jìn)數(shù)作為數(shù)組下標(biāo),可直接取得對(duì)應(yīng)的七段編碼字節(jié)

// 0 1 2 3 4 5 6 7 8 9 A b C d E F

{0x3f, 0x06, 0x5b, 0x4f, 0x66, 0x6d, 0x7d, 0x07, 0x7f, 0x6f, 0x77, 0x7c, 0x39, 0x5e, 0x79, 0x71};

void main( void )

{

unsigned char i=0; //作為數(shù)組下標(biāo)

P2 = 0xff; //P2作為輸入,初始化輸出高

P3 = 0xff; //P3作為輸入,初始化輸出高

While( 1 )

{

if( KEY_0 == 0 ) i=0; if( KEY_1 == 0 ) i=1;

if( KEY_2 == 0 ) i=2; if( KEY_3 == 0 ) i=3;

if( KEY_4 == 0 ) i=4; if( KEY_5 == 0 ) i=5;

if( KEY_6 == 0 ) i=6; if( KEY_7 == 0 ) i=7;

if( KEY_8 == 0 ) i=8; if( KEY_9 == 0 ) i=9;

if( KEY_A == 0 ) i=0xA; if( KEY_B == 0 ) i=0xB;

if( KEY_C == 0 ) i=0xC; if( KEY_D == 0 ) i=0xD;

if( KEY_E == 0 ) i=0xE; if( KEY_F == 0 ) i=0xF;

SLED = Seg7Code[ i ]; //開始時(shí)顯示0,根據(jù)i取應(yīng)七段編碼

}

}

第二節(jié):雙數(shù)碼管可調(diào)秒表

解:只要滿足題目要求,方法越簡(jiǎn)單越好。由于單片機(jī)I/O資源足夠,所以雙數(shù)碼管可接成靜態(tài)顯示方式,兩個(gè)共陰數(shù)碼管分別接在P1(秒十位)和P2(秒個(gè)位)口,它們的共陰極都接地,安排兩個(gè)按鍵接在P3.2(十位數(shù)調(diào)整)和P3.3(個(gè)位數(shù)調(diào)整)上,為了方便計(jì)時(shí),選用12MHz的晶體。為了達(dá)到精確計(jì)時(shí),選用定時(shí)器方式2,每計(jì)數(shù)250重載一次,即250us,定義一整數(shù)變量計(jì)數(shù)重載次數(shù),這樣計(jì)數(shù)4000次即為一秒。定義兩個(gè)字節(jié)變量S10和S1分別計(jì)算秒十位和秒個(gè)位。編得如下程序:

代碼

#include

Code unsigned char Seg7Code[16]= //用十六進(jìn)數(shù)作為數(shù)組下標(biāo),可直接取得對(duì)應(yīng)的七段編碼字節(jié)

// 0 1 2 3 4 5 6 7 8 9 A b C d E F

{0x3f, 0x06, 0x5b, 0x4f, 0x66, 0x6d, 0x7d, 0x07, 0x7f, 0x6f, 0x77, 0x7c, 0x39, 0x5e, 0x79, 0x71};

void main( void )

{

unsigned int us250 = 0;

unsigned char s10 = 0;

unsigned char s1 = 0;

unsigned char key10 = 0; //記憶按鍵狀態(tài),為1按下

unsigned char key1 = 0; //記憶按鍵狀態(tài),為1按下

//初始化定時(shí)器 Timer0

TMOD = (TMOD & 0xF0) | 0x02;

TH1 = -250; //對(duì)于8位二進(jìn)數(shù)來說,-250=6,也就是加250次1時(shí)為256,即為0

TR1 = 1;

while(1){ //----------循環(huán)1

P1 = Seg7Code[ s10 ]; //顯示秒十位

P2 = Seg7Code[ s1 ]; //顯示秒個(gè)位

while( 1 ){ //----------循環(huán)2

//計(jì)時(shí)處理

if( TF0 == 1 ){

TF0 = 0;

if( ++us250 >= 4000 ){

us250 = 0;

if( ++s1 >= 10 ){

s1 = 0;

if( ++s10 >= 6 ) s10 = 0;

}

break; //結(jié)束“循環(huán)2”,修改顯示

}

}

//按十位鍵處理

P3.2 = 1; //P3.2作為輸入,先要輸出高電平

if( key10 == 1 ){ //等松鍵

if( P3.2 == 1 ) key10=0;

}

else{ //未按鍵

if( P3.2 == 0 ){

key10 = 1;

if( ++s10 >= 6 ) s10 = 0;

break; //結(jié)束“循環(huán)2”,修改顯示

}

}

//按個(gè)位鍵處理

P3.3 = 1; //P3.3作為輸入,先要輸出高電平

if( key1 == 1 ) //等松鍵

{ if( P3.3 == 1 ) key1=0; }

else { //未按鍵

if( P3.3 == 0 ){ key1 = 1;

if( ++s1 >= 10 ) s1 = 0;

break; //結(jié)束“循環(huán)2”,修改顯示

}

}

} //循環(huán)2’end

}//循環(huán)1’end

}//main’end

第三節(jié):十字路口交通燈

如果一個(gè)單位時(shí)間為1秒,這里設(shè)定的十字路口交通燈按如下方式四個(gè)步驟循環(huán)工作:

60個(gè)單位時(shí)間,南北紅,東西綠;

10個(gè)單位時(shí)間,南北紅,東西黃;

60個(gè)單位時(shí)間,南北綠,東西紅;

10個(gè)單位時(shí)間,南北黃,東西紅;

解:用P1端口的6個(gè)引腳控制交通燈,高電平燈亮,低電平燈滅。

代碼

#include

//sbit用來定義一個(gè)符號(hào)位地址,方便編程,提高可讀性,和可移植性

sbit SNRed =P1^0; //南北方向紅燈

sbit SNYellow =P1^1; //南北方向黃燈

sbit SNGreen =P1^2; //南北方向綠燈

sbit EWRed =P1^3; //東西方向紅燈

sbit EWYellow =P1^4; //東西方向黃燈

sbit EWGreen =P1^5; //東西方向綠燈

/* 用軟件產(chǎn)生延時(shí)一個(gè)單位時(shí)間 */

void Delay1Unit( void )

{

unsigned int i, j;

for( i=0; i<1000; i++ )

for( j<0; j<1000; j++ ); //通過實(shí)測(cè),調(diào)整j循環(huán)次數(shù),產(chǎn)生1ms延時(shí)

//還可以通過生成匯編程序來計(jì)算指令周期數(shù),結(jié)合晶體頻率來調(diào)整j循環(huán)次數(shù),接近1ms

}

/* 延時(shí)n個(gè)單位時(shí)間 */

void Delay( unsigned int n ){ for( ; n!=0; n-- ) Delay1Unit(); }

void main( void )

{

while( 1 )

{

SNRed=0; SNYellow=0; SNGreen=1; EWRed=1; EWYellow=0; EWGreen=0; Delay( 60 );

SNRed=0; SNYellow=1; SNGreen=0; EWRed=1; EWYellow=0; EWGreen=0; Delay( 10 );

SNRed=1; SNYellow=0; SNGreen=0; EWRed=0; EWYellow=0; EWGreen=1; Delay( 60 );

SNRed=1; SNYellow=0; SNGreen=0; EWRed=0; EWYellow=1; EWGreen=0; Delay( 10 );

}

}

第四節(jié):數(shù)碼管驅(qū)動(dòng)

顯示“12345678”

P1端口接8聯(lián)共陰數(shù)碼管SLED8的段極:P1.7接段h,…,P1.0接段a

P2端口接8聯(lián)共陰數(shù)碼管SLED8的段極:P2.7接左邊的共陰極,…,P2.0接右邊的共陰極

方案說明:晶振頻率fosc=12MHz,數(shù)碼管采用動(dòng)態(tài)刷新方式顯示,在1ms定時(shí)斷服務(wù)程序中實(shí)現(xiàn)

代碼

#include

unsigned char DisBuf[8]; //全局顯示緩沖區(qū),DisBuf[0]對(duì)應(yīng)右SLED,DisBuf[7]對(duì)應(yīng)左SLED,

void DisplayBrush( void )

{ code unsigned char cathode[8]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f}; //陰極控制碼

Code unsigned char Seg7Code[16]= //用十六進(jìn)數(shù)作為數(shù)組下標(biāo),可直接取得對(duì)應(yīng)的七段編碼字節(jié)

{0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71};

static unsigned char i=0; // (0≤i≤7) 循環(huán)刷新顯示,由于是靜態(tài)變量,此賦值只做一次。

P2 = 0xff; //顯示消隱,以免下一段碼值顯示在前一支SLED

P1 = Seg7Code[ DisBuf[i] ]; //從顯示緩沖區(qū)取出原始數(shù)據(jù),查表變?yōu)槠叨未a后送出顯示

P2 = cathode[ i ]; //將對(duì)應(yīng)陰極置低,顯示

if( ++i >= 8 ) i=0; //指向下一個(gè)數(shù)碼管和相應(yīng)數(shù)據(jù)

}

void Timer0IntRoute( void ) interrupt 1

{

TL0 = -1000; //由于TL0只有8bits,所以將(-1000)低8位賦給TL0

TH0 = (-1000)>>8; //取(-1000)的高8位賦給TH0,重新定時(shí)1ms

DisplayBrush();

}

void Timer0Init( void )

{ TMOD=(TMOD & 0xf0) | 0x01; //初始化,定時(shí)器T0,工作方式1

TL0 = -1000; //定時(shí)1ms

TH0 = (-1000)>>8;

TR0 = 1;

//允許T0開始計(jì)數(shù)

ET0 = 1; //允許T0計(jì)數(shù)溢出時(shí)產(chǎn)生中斷請(qǐng)求

}

void Display( unsigned char index, unsigned char dataValue ){ DisBuf[ index ] = dataValue; }

void main( void )

{

unsigned char i;

for( i=0; i<8; i++ ){ Display(i, 8-i); } //DisBuf[0]為右,DisBuf[7]為左

Timer0Init();

EA = 1; //允許CPU響應(yīng)中斷請(qǐng)求

While(1);

}

詞條內(nèi)容僅供參考,如果您需要解決具體問題
(尤其在法律、醫(yī)學(xué)等領(lǐng)域),建議您咨詢相關(guān)領(lǐng)域?qū)I(yè)人士。

推薦詞條

<蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <蜘蛛词>| <文本链> <文本链> <文本链> <文本链> <文本链> <文本链>